Шифраторы. Принцип работы шифраторов

06.11.2021 Windows

Функции дешифраторов и шифраторов понятны из их названий. Дешифратор преобразует входной двоичный код в номер выходного сигнала (дешифрирует код), а шифратор преобразует номер входного сигнала в выходной двоичный код (шифрует номер входного сигнала). Количество выходных сигналов дешифратора и входных сигналов шифратора равно количеству возможных состояний двоичного кода (входного кода у дешифратора и выходного кода у шифратора), то есть 2 n , где n - разрядность двоичного кода (рис. 5.1). Микросхемы дешифраторов обозначаются на схемах буквами DC (от английского Decoder), а микросхемы шифраторов - CD (от английского Coder).

Рис. 5.1. Функции дешифратора (слева) и шифратора (справа)

На выходе дешифратора всегда присутствует только один сигнал, причем номер этого сигнала однозначно определяется входным кодом. Выходной код шифратора однозначно определяется номером входного сигнала.

Рассмотрим подробнее функцию дешифратора.

В стандартные серии входят дешифраторы на 4 выхода (2 разряда входного кода), на 8 выходов (3 разряда входного кода) и на 16 выходов (4 разряда входного кода). Они обозначаются соответственно как 2–4, 3–8, 4–16. Различаются микросхемы дешифраторов входами управления (разрешения/запрета выходных сигналов), а также типом выхода: 2С или ОК. Выходные сигналы всех дешифраторов имеют отрицательную полярность. Входы, на которые поступает входной код, называют часто адресными входами. Обозначают эти входы 1, 2, 4, 8, где число соответствует весу двоичного кода (1 - младший разряд, 2 - следующий разряд и т.д.), или А0, А1, А2, А5. В отечественных сериях микросхемы дешифраторов обозначаются буквами ИД. На рис. 5.2 показаны три наиболее типичных микросхемы дешифраторов.

Рис. 5.2. Примеры микросхем дешифраторов

Код на входах 1, 2, 4, 8 определяет номер активного выхода (вход 1 соответствует младшему разряду кода, вход 8 - старшему разряду кода). Входы разрешения С1, С2, С3 объединены по функции И и имеют указанную на рисунке полярность. Для примера в табл. 5.1 приведена таблица истинности дешифратора ИД7 (3-8). Существуют и дешифраторы 4–10 (например, ИД6), которые обрабатывают не все возможные 16 состояний входного кода, а только первые 10 из них.

Первые три строки таблицы соответствуют запрету выходных сигналов. Разрешением выхода будет единица на входе С1 и нули на входах С2 и С5. Символ "Х" обозначает безразличное состояние данного входа (неважно, нуль или единица). Нижние восемь строк соответствуют разрешению выходных сигналов. Номер активного выхода (на котором формируется нулевой сигнал) определяется кодом на входах 1, 2, 4, причем вход 1 соответствует младшему разряду кода, а вход 4 - старшему разряду кода.

Таблица 5.1. Таблица истинности дешифратора 3–8 (ИД7)
Входы Выходы
C1 -C2 -C3
X X X X X
X X X X X
X X X X X

Наиболее типичное применение дешифраторов состоит именно в дешифрировании входных кодов, при этом входы С используются как стробирующие, управляющие сигналы. Номер активного (то есть нулевого) выходного сигнала показывает, какой входной код поступил. Если нужно дешифровать код с большим числом разрядов, то можно объединить несколько микросхем дешифраторов (пример показан на рис. 5.3).

Рис. 5.3. Увеличение количества разрядов дешифратора

При этом старшие разряды кода подаются на основной дешифратор, выходы которого разрешают работу нескольких дополнительных дешифраторов. На объединенные входы этих дополнительных дешифраторов подаются младшие разряды входного кода. Из пяти микросхем дешифраторов 2–4 можно получить дешифратор 4–16, как показано на рисунке (хотя лучше, конечно, взять готовую микросхему). Точно так же из девяти микросхем 3–8 можно получить дешифратор 6–64, а из семнадцати микросхем 4–16 - дешифратор 8–256. Еще одно распространенное применение дешифраторов - селекция (выбор) заданных входных кодов. Появление отрицательного сигнала на выбранном выходе дешифратора будет означать поступление на вход интересующего нас кода. В данном случае увеличивать число разрядов входного селектируемого кода гораздо проще, чем в предыдущем (см. рис. 5.3). Например, две микросхемы 4–16 позволяют селектировать 8-разрядный код (рис. 5.4). В примере на рисунке селектируется 16-ричный код 2А (двоичный код 0010 1010). При этом один дешифратор работа ет с младшими четырьмя разрядами кода, а другой - со старшими четырьмя разрядами. Объединяются дешифраторы так, что один из них разрешает работу другого по входам –С1 и –С2. Применяя механические переключатели выходов дешифраторов (тумблеры, перемычки), можно легко изменять код, селектируемый данной схемой.

Рис. 5.4. Селектирование кода на дешифраторах

Еще одно важное применение дешифраторов состоит в перекоммутации одного входного сигнала на несколько выходов. Или, другими словами, дешифратор в данном случае выступает в качестве демультиплексора входных сигналов, который позволяет разделить входные сигналы, приходящие в разные моменты времени, на одну входную линию (мультиплексированные сигналы). При этом входы 1, 2, 4, 8 дешифратора используются в качестве управляющих, адресных, определяющих, на какой выход переслать пришедший в данный момент входной сигнал (рис. 5.5), а один из входов С выступает в роли входного сигнала, который пересылается на заданный выход. Если у микросхемы имеется несколько стробирующих входов С, то оставшиеся входы С можно использовать в качестве разрешающих работу дешифратора.

Рис. 5.5. Включение дешифратора как демультиплексора

Рис. 5.6. Стробирование выходных сигналов дешифратора

На втором уровне представления (модель с временными задержками) также надо учитывать, что задержки дешифратора больше задержки простых логических элементов примерно вдвое для входного кода и примерно в полтора раза - для стробирующих входов. То есть если попытаться заменить дешифратор схемой на логических элементах, то такой дешифратор получится медленнее. Точные величины задержек надо смотреть в справочниках.

Рис. 5.7. Позиционная индикация на дешифраторе с выходами ОК

Дешифраторы, имеющие выходы типа ОК (ИД5, ИД10), удобно применять в схемах позиционной индикации на светодиодах. На рис. 5.7 приведен пример такой индикации на микросхеме ИД5, которая представляет собой два дешифратора 2–4 с объединенными входами для подачи кода и стробами, позволяющими легко строить дешифратор 3–8. При этом старший разряд кода выбирает один из дешифраторов 2–4 (нуль соответствует верхнему по схеме дешифратору, а единица - нижнему). То есть в данном случае номер горящего светодиода равен входному коду дешифратора. Такая индикация называется позиционной.

Рис. 5.8. Объединение выходов дешифраторов с ОК

Выходы микросхем дешифраторов с ОК можно объединять между собой для реализации проводного ИЛИ (рис. 5.8). Нуль на объединенном выходе будет тогда, когда хотя бы на одном из выходов вырабатывается нуль. При равномерном пошаговом наращивании входного кода (например, с помощью счетчика) такое решение позволяет формировать довольно сложные последовательности выходных сигналов. Правда, каждый выход дешифратора может использоваться для получения только одного выходного сигнала. Это ограничивает возможности таких схем.

Шифраторы используются гораздо реже, чем дешифраторы. Это связано с более специфической областью их применения. Значительно меньше и выбор микросхем шифраторов в стандартных сериях. В отечественных сериях шифраторы имеют в названии буквы ИВ.

На рис. 5.9 показаны для примера две микросхемы шифраторов ИВ1 и ИВ3. Первая имеет 8 входов и 3 выхода (шифратор 8–3), а вторая - 9 входов и 4 выхода (шифратор 9–4). Все входы шифраторов - инверсные (активные входные сигналы - нулевые). Все выходы тоже инверсные, то есть формируется инверсный код. Микросхема ИВ1, помимо 8 информационных входов и 3 разрядов выходного кода (1, 2, 4), имеет инверсный вход разрешения –ЕI, выход признака прихода любого входного сигнала –GS, а также выход переноса –EO, позволяющий объединять несколько шифраторов для увеличения разрядности.

Рис. 5.9. Микросхемы шифраторов

Таблица истинности шифратора ИВ1 приведена в табл. 5.2.

Таблица 5.2. Таблица истинности шифратора ИВ1
Входы Выходы
-EI -GS -EO
X X X X X X X X
X X X X X X X
X X X X X X
X X X X X
X X X X
X X X
X X
X

Из таблицы видно, что на выходах кода 1, 2, 4 формируется инверсный двоичный код номера входной линии, на который приходит отрицательный входной сигнал. При одновременном поступлении нескольких входных сигналов формируется выходной код, соответствующий входу с наибольшим номером, то есть старшие входы имеют приоритет перед младшими. Поэтому такой шифратор называется приоритетным. При отсутствии входных сигналов (вторая строчка таблицы) формируется выходной код 111. Единичный сигнал –EI (первая строчка) запрещает работу шифратора (все выходные сигналы устанавливаются в единицу). На выходе –GS вырабатывается нуль при приходе любого входного сигнала, что позволяет, в частности, отличить ситуацию прихода нулевого входного сигнала от ситуации отсутствия любых входных сигналов. Выход -EO становится активным (нулевым) при отсутствии входных сигналов, но разрешении работы шифратора сигналом –EI.

Стандартное применение шифраторов состоит в сокращении количества сигналов. Например, в случае шифратора ИВ1 информация о восьми входных сигналах сворачивается в три выходных сигнала. Это очень удобно, например, при передаче сигналов на большие расстояния. Правда, входные сигналы не должны приходить одновременно. На рис. 5.10 показаны стандартная схема включения шифратора и временные диаграммы его работы.

Рис. 5.10. Стандартное включение шифратора

Инверсия выходного кода приводит к тому, что при приходе нулевого входного сигнала на выходе формируется не нулевой код, а код 111, то есть 7. Точно так же при приходе, например, третьего входного сигнала на выходе образуется код 100, то есть 4, а при приходе пятого выходного сигнала - код 010, то есть 2.

Наличие у шифраторов входов EI и EO позволяет увеличивать количество входов и разрядов шифратора, правда, с помощью дополнительных элементов на выходе. На рис. 5.11 показан пример построения шифратора 16–4 на двух микросхемах шифраторов ИВ1 и трех элементах 2И-НЕ (ЛА3).

Рис. 5.11. Шифратор 16–4 на двух шифраторах 8–3

Одновременное или почти одновременное изменение сигналов на входе шифратора приводит к появлению периодов неопределенности на выходах. Выходной код может на короткое время принимать значение, не соответствующее ни одному из входных сигналов. Поэтому в тех случаях, когда входные сигналы могут приходить одновременно, необходима синхронизация выходного кода, например, с помощью разрешающего сигнала EI, который должен приходить только тогда, когда состояние неопределенности уже закончилось.

Задержка шифратора от входа до выхода кода примерно в полтора раза превышает задержку логического элемента, а задержка до выхода GS - примерно в два раза больше. Точные величины задержек микросхем надо смотреть в справочниках.

Дешифратор К155 ИД3, К1533ИД1
Микросхема представляет собой двоично-десятичный дешифратор на 15 выходов.

Выводы 23, 22, 21 20 — информационные. Служат для получения двоичного кода с весом разрядов 1, 2, 4, 8 соответственно. При получении кода, микросхема выставляет логический «0» на соответствующем коду десятичном выходе (выводы 1-17). На всех остальных выходах в это время присутствует «1».

Все вышесказанное справедливо лишь в том случае, если на входах S (выводы 18, 19), соединенных по «И», присутствует «0». Если на любом из выводов появится «1», на всех выходах дешифратора установится «1» независимо от входного кода. Таким образом, используя входы S и всего лишь один инвертор, несложно нарастить разрядность дешифратора до 32:

Еще один инвертор позволит увеличить разрядность до 64:

Если требуется получить дешифратор на большее количество разрядов, то в качестве устройства выбора микросхем вместо инверторов лучше использовать ту же ИД3 (на схеме ниже – DD1).

В зависимости от четырех старших разрядов кода она активирует тот или иной дешифратор, организуя полную байтную линейку (8 двоичных входов, 256 десятичных выходов).

——————————————-

Дешифтратор К155ИД4, К555ИД4, КР1533ИД4
Микросхема представляет собой два идентичных двоично-десятичных дешифратора на два входа (двоичный код с весом 1-2) и четыре выхода (десятичный код 0-3) каждый. Адресные двоичные входы дешифраторов включены параллельно (выводы 3, 13 микросхемы).

Каждый дешифратор имеет свои входы стробирования. У верхнего по схеме дешифратора входы стробирования соединены по «И», назначение их аналогично микросхеме ИД3 – логический «0»на обоих входах разрешает дешифрацию, «1» на любом из них переводит все выходы дешифратора в «1». Нижний по схеме дешифратор имеет стробирующие входы, соединенные по «И», но с инверсией одного из них. Таким образом дешифрация произойдет при наличии на стробирующих входах сигналов «1» и «0» При любой другой комбинации работа дешифратора будет запрещена (на всех выходах «1»). Такая организация позволяет построить дешифратор на 8 всего на одном корпусе без применения дополнительных элементов:

Аналогично микросхеме ИД3 в дешифраторах на микросхемах ИД4 несложно нарастить разрядность:

При необходимости количество выходов ИД4 можно дорастить до 10 и превратить его в неполный двоично-десятичный дешифратор на 4 входа и 10 выходов используя простую логику:

Разводку выводов питания ТТЛ микросхем серии К155 (1533, 555, 133) можно посмотерть .

——————————————-

Микросхема К555ИД5
Является аналогом 155ИД4 с той лишь разницей, что выходы дешифратора собраны по схеме с открытым коллектором:

Разводку выводов питания ТТЛ микросхем серии К155 (1533, 555, 133) можно посмотерть .

——————————————-

Микросхема К155ИД1
Неполный двоично-десятичный дешифратор на 4 входа и 10 выходов. Отличительная особенность микросхемы – высоковольтные выходные ключи с открытым коллектором. Микросхема имеет минимум управления — 4 входа для подачи двоичного кода и 10 выходов для отображения полученного кода в десятичном счислении (плюс два вывода питания).

Вход управляется уровнями ТТЛ. Выходы можно нагрузить (собственно для этого микросхема и предназначена) высоковольтными газоразрядными индикаторами, питающимися постоянным или пульсирующим напряжением до 300 В. При поступлении на входы 3, 6, 7, 4 двоичного кода, соответствующий этому коду выход соединяется с корпусом (- источник питания). Все остальные выходы в это время закрыты (имеют высокое сопротивление – «обрыв»). Если на вход подать двоичный эквивалент чисел 10-15 (четырехразрядный двоичный вход это позволяет), то все выходы микросхемы окажутся отключенными. Схема подключения газоразрядного индикатора к микросхеме 155ИД1 проста:

Катоды разрядов подключаются к выходам дешифратора, общий анод через резистор R1 (минимум 22кОм) к плюсу источника питания газоразрядного индикатора. Минус этого источника соединяется с минусовым проводом питания микросхемы.

Разводку выводов питания ТТЛ микросхем серии К155 (1533, 555, 133) можно посмотерть .

——————————————-

Микросхема К555ИД6
Неполный двоично-десятичный дешифратор, работающий по тому же алгоритму, что и 155ИД1. Единственное отличие — выходы ИД6 имеют обычные ключи, выдающие ТТЛ уровни «0», «1».

При получении двоичного кода, микросхема устанавливает на соответствующем выходе уровень «0», на остальных «1». При входном коде 10-15 на всех выходах присутствует «1».

Разводку выводов питания ТТЛ микросхем серии К155 (1533, 555, 133) можно посмотерть .

——————————————-

Микросхема К555ИД7, КР1533ИД7, КР531ИД7
Полный двоично-десятичный дешифратор на 3 входа и восемь выходов. Входы служат для подачи трехразрядного двоичного кода, выходы – для выдачи его десятичного эквивалента (активный уровень низкий).

Для стробирования выходного сигнала служат три входа S соединенные по «И», два из которых инверсные. При наличии на входах 4, 5, 6 уровней «0», «0», «1» соответственно, дешифрация разрешена, при любой другой комбинации на всех выходах дешифратора устанавливается высокий уровень. Благодаря расширенному управлению стробирования дешифраторы можно объединять для наращивания разрядности без дополнительных элементов или с их минимумом. В качестве примера ниже приведена схема дешифратора на 32 разряда с использованием всего одного дополнительного инвертора.

Разводку выводов питания ТТЛ микросхем серии К155 (1533, 555, 133) можно посмотерть .

——————————————-

Микросхема К155ИД10, К555ИД10
Неполный двоично-десятичный дешифратор на четыре входа и десять выходов.

По расположению выводов и логике работы аналогичен микросхеме К155ИД6, но выходы ИД10 выполнены по схеме с открытым коллектором, а выходные ключи рассчитаны на достаточно большой выходной ток. При низком уровне на выходе ключ 555 серии дешифратора в состоянии держать ток до 24 мА, 155 и 133 серии – до 80 мА. При отключенном выходе всех серий напряжение на нем может достигать 15 В, что позволяет напрямую запитать маломощное электромагнитное реле:

Разводку выводов питания ТТЛ микросхем серии К155 (1533, 555, 133) можно посмотерть .

——————————————-

Микросхема КР531ИД14, КР1533ИД14
Два полных двоично-десятичных дешифратора с двухразрядным входом и четырехразрядным десятичным выходом каждый.

При подаче на вход двоичного двухразрядного кода на соответствующем выходе дешифратора устанавливается его десятичный эквивалент. Входы у обоих дешифраторов прямые, выходы инверсные. Кроме того, каждый из дешифраторов стробируется отдельным сигналом S (вход инверсный). При наличии «0» на входе стробирования дешифратор работает, при высоком уровне переводит все выходы в состояние «1».

Как и все дешифраторы КР1533(531)ИД14 могут соединяться каскадно для наращивания разрядности. На рисунке ниже представлена схема неполного дешифратора на 4 входа и 12 выходов, составленная из двух корпусов КР531ИД14.

Разводку выводов питания ТТЛ микросхем серии К155 (1533, 555, 133) можно посмотерть .

——————————————-

Дешифратор (декодер) – это комбинационное устройство с несколькими входами и выходами, у которого определенным комбинациям входных сигналов соответствует активное состояние одного из выходов. Дешифраторы преобразуют двоичный или двоично-десятичный код в унитарный код. Если декодер имеет n входов, m выходов и использует все возможные наборы входных переменных, то m = 2 n . Такой декодер называют полным. Если используется лишь часть наборов, то такой декодер называют неполным. Дешифраторы используют, когда нужно обращаться к различным цифровым устройствам, и при этом номер устройства (его адрес) представлен двоичным кодом. Входы декодера (адресные входы) часто номеруют не порядковыми номерами, а в соответствии с весами двоичных разрядов, т. е. не 1, 2, 3, 4, а 1, 2, 4, 8.

Формально описать работу дешифратора можно, задав список функций, отрабатываемых каждым из его выходов Y i . Так, для дешифратора 3–8:

Y o = ;Y 1 =
;Y 2 =
; Y 3 =
; ... Y 7 =a 4 a 2 a 1 .

Число входов и выходов декодера указывают следующим образом: декодер 3–8 (читается “три в восемь”); 4–16; 4–10 (это неполный дешифратор). Реализация указанных восьми выражений с помощью восьми трехвходовых элементов И (рис. 10.7) дает наиболее простой по структуре дешифратор, называемый линейным.

а б

Рис. 10.7. Дешифратор 3-8: а – условное обозначение; б – структура

Основной объем его оборудования в общем случае m n -входовых элементов И. Кроме того, к оборудованию обычно относят n инверторов входных переменных и n буферных входных усилителей, сводящих к единице кратность нагрузки источника сигнала.

Дешифраторы часто имеют разрешающий вход EI . При EI = 1 дешифратор работает как обычно, а при EI = 0 на всех выходах устанавливаются не активные уровни.

Вход EI воздействует на все элементы И. В схеме (рис. 10.8) воздействие оказывается через прямой и инверсный входы одного из разрядов входного кода (через дополнительные элементы И). При этом число входов элементов И не изменяется, но в работу дешифратора вносится дополнительная задержка. В схеме (рис. 10.9) задержка не вносится, но здесь элементы И имеют большее число входов.

Разрешающий вход EI часто выполняется инверсным. Дешифратор, имеющий разрешающий вход, иногда называют декодер–демультиплексор и вместо обозначения DC используют обозначение DX . Это связано с тем, что вход EI иногда используют в качестве информационного (как в демультиплексорах).

Рис. 10.8. Разрешение через прямой и Рис. 10.9. Разрешение через

инверсный входы одного из разрядов дополнительные входы элементов И

Вход EI используется при построении древовидных (каскадных) схем дешифраторов с целью расширения адресного пространства. При этом все адресное пространство разбивается на группы. Старшие разряды адреса подаются на дешифратор старших разрядов, выходы которого по входам EI управляют дешифраторами второго каскада. На рис. 10.10 представлена схема двухкаскадного дешифратора 5–32 (пять в тридцать два).

Рис. 10.10. Двухкаскадный дешифратор 5–32

Два старших разряда адреса а 16 и а 8 расшифровываются дешифратором 2–4 DC 4, который по входам Е I управляет четырьмя дешифраторами второго каскада. Младшие разряды адреса а 4 , а 2 , а 1 поступают на все дешифраторы второго каскада, но открытым по входу EI оказывается лишь один из них. Ему и будет принадлежать единственный из всех 32 возбужденный выход. Например, входной код 01111 у дешифратора DC 4 делает активным выход 1. Этим сигналом и откроется дешифратор второй ступени DC 1, а DC 0, DC 2, DC 3 закрыты. У дешифратора DC 1 сигнал появится на выводе 7, что соответствует 15 выходу всего дешифратора. Такой принцип используется при построении дешифратора на много выходов из микросхем дешифраторов с меньшим числом выходов.

В рассмотренном случае 5-разрядный адрес был разбит на две группы в 2 и 3 разряда. Это и определило структуру дешифратора. В общем случае многоразрядный адрес можно разбить на группы различными способами и каждому будет соответствовать свой вариант схемы. Варианты будут различаться задержкой и аппаратными затратами. Таким образом, можно ставить задачу выбора оптимальной, в заданной серии элементов, структуры.

На рис. 10.11 показан двухкаскадный дешифратор 4–16, второй каскад которого собран по схеме прямоугольного дешифратора. Разряды адреса разбиты на две группы, каждая из которых независимо от другой расшифровывается своим дешифратором первого каскада DC 0 и DC 1. При любой комбинации значений входных переменных оказываются выбранными одна строка и один столбец сетки, в узлах которой расположены элементы И второй ступени (второго каскада). В результате каждый входной набор возбуждает выход единственного соответствующего ему элемента И. Такую сетку из элементов И называют прямоугольным или матричным дешифратором.

Рис. 10.11. Матричный дешифратор

Делить разряды адреса между DC 1 и DC 2 нужно по возможности поровну. Чем ближе прямоугольник второго каскада к квадрату, тем, при том же числе выходных элементов И, меньше сумма его строк и столбцов, т. е. меньше число выходов дешифраторов первого каскада. Из этого следует, что использование во втором каскаде квадратной матрицы, позволяет применить в первом каскаде наиболее простые дешифраторы и тем самым минимизировать общую задержку в работе всего дешифратора.

В качестве входа EI (Е ) всего двухкаскадного дешифратора удобно использовать разрешающий вход только одного из дешифраторов первого каскада. При этом запираются или все строки или все столбцы.

Следует отметить, что при большом числе выходов (сотни и более) прямоугольный дешифратор самый экономичный по оборудованию, чем и объясняется его применение в БИС памяти. При малом числе выходов наиболее экономичным является линейный дешифратор.

Дешифраторы, выпускаемые в виде микросхем, имеют буквенное обозначение ИД, например, 155ИД3, 155ИД4. В сериях ТТЛ дешифраторы имеют обычно инверсные выходы, т. е. активным является низкий уровень. В КМОП-сериях выходные сигналы чаще имеют активный высокий уровень.

Часто в микросхемах дешифраторов делают несколько разрешающих входов, а разрешающей комбинацией является их конъюнкция. При этом удобно наращивать дешифраторы, используя каскадный принцип и строя первый каскад дешифрации не на отдельном специальном дешифраторе, а собирая его из конъюнкторов разрешающих входов. На рис. 10.12 представлен дешифратор 5–32 из 4 дешифраторов 3–8. Каждая микросхема имеет два инверсных разрешающих входа. Символ & над символом Е I обозначает, что разрешение существует лишь при совпадении всех сигналов группы входов, помеченных знаком &. На рисунке символы инверсии указывают на совпадение двух низких уровней на входах разрешения.

Дешифратор первого каскада распределен по конъюнкторам 4 микросхем. Такое решение – иметь несколько разрешающих входов, связанных операцией И, чтобы собирать на этих входах фрагменты дешифраторов, вообще типично для современных микросхем .

Рис. 10.12. Дешифрация адресов с использованием в первом каскаде разрешающих входов

Если использовать только два дешифратора DC 0 и DC 1, то можно получить дешифратор на 16 выходов. При этом адресный вход а 16 будет отсутствовать, а нижние (по схеме) разрешающие входы дешифраторов DC 0 и DC 1 должны быть заземлены.

Схема дешифратора 155ИД4 представлена на рис. 10.13. В нее входят два дешифратора 2–4. Каждый дешифратор имеет пару разрешающих входов. Один разрешающий вход одной из секций инвертирован. Это позволяет, объединив его с неинвертированным разрешающим входом другой секции и подав на эту пару третью переменную а 4 , использовать ту же самую схему как дешифратор 3–8 с разрешающим входом Е . Кроме того, эта микросхема может быть использована как два демультиплексора с 1 входа на 4 выхода и как демультиплексор с одной линии на 8 выходов.

Рис. 10.13. Схема дешифратора 155ИД4.

Рис. 10.14. Варианты подключения дешифратора 155ИД1

На рис. 10.14 показана возможность использования микросхемы 155ИД1 в качеств дешифратора 4–10 или 3–8. В представленной схеме при использовании всех четырех входов в качестве адресных микросхема представляет дешифратор 4–10. Если вход 8 использовать в качестве разрешающего входа, тогда микросхема будет служить дешифратором 3–8. Выходы 8 и 9 при этом не используются.

Дешифраторы могут применяться в качестве демультиплексора входных сигналов, а совместно с шифратором находят применение при построении преобразователей кодов, селектировании заданных входных кодов и др. . Для реализации подобных устройств могут быть использованы программируемые логические матрицы или программируемые логические интегральные схемы (ПЛМ или ПЛИС) .

Логические устройства разделяют на два класса: комбинационные и последовательностные.

Устройство называют комбинационным , если его выходные сигналы в некоторый момент времени однозначно определяются входными сигналами, имеющими место в этот момент времени.

Иначе устройство называют последовательностным или конечным автоматом (цифровым автоматом, автоматом с памятью). В последовательностных устройствах обязательно имеются элементы памяти. Состояние этих элементов зависит от предыстории поступления входных сигналов. Выходные сигналы последовательностных устройств определяются не только сигналами, имеющимися на входах в данный момент времени, но и состоянием элементов памяти. Таким образом, реакция последовательностного устройства на определенные входные сигналы зависит от предыстории его работы.

Среди как комбинационных, так и последовательностных устройств выделяются типовые, наиболее широко используемые на практике.

Шифраторы

Шифратор - это комбинационное устройство, преобразующее десятичные числа в двоичную систему счисления, причем каждому входу может быть поставлено в соответствие десятичное число, а набор выходных логических сигналов соответствует определенному двоичному коду. Шифратор иногда называют «кодером» (от англ. coder) и используют, например, для перевода десятичных чисел, набранных на клавиатуре кнопочного пульта управления, в двоичные числа.

Если количество входов настолько велико, что в шифраторе используются все возможные комбинации сигналов на выходе, то такой шифратор называется полным, если не все, то неполным. Число входов и выходов в полном шифраторе связано соотношением n= 2 m , где n- число входов, m- число выходов.

Так, для преобразования кода кнопочного пульта в четырехразрядное двоичное число достаточно использовать лишь 10 входов, в то время как полное число возможных входов будет равно 16 (n = 2 4 = 16), поэтому шифратор 10×4 (из 10 в 4) будет неполным.

Рассмотрим пример построения шифратора для преобразования десятиразрядного единичного кода (десятичных чисел от 0 до 9) в двоичный код. При этом предполагается, что сигнал, соответствующий логической единице, в каждый момент времени подается только на один вход. Условное обозначение такого шифратора и таблица соответствия кода приведены на рис. 3.35.

Используя данную таблицу соответствия, запишем логические выражения, включая в логическую сумму те входные переменные, которые соответствуют единице некоторой выходной пере­менной. Так, на выходе у 1 будет логическая «1» тогда, когда логическая «1» будет или на входе Х 1 ,или Х 3 , или Х 5 , или Х 7 , или X 9 , т. е. у 1 = Х 1 + Х 3 + Х 5 + Х 7 +X 9

Аналогично получаем у 2 = Х 2 + Х 3 + Х 6 + X 7 у 3 = Х 4 + Х 5 + Х 6 + Х 7 у 4 = Х 8 + X 9

Представим на рис. 3.36 схему такого шифратора, используя элементы ИЛИ.
На практике часто используют шифратор с приоритетом. В таких шифраторах код двоичного числа соответствует наивысшему номеру входа, на который подан сигнал «1», т. е. на приоритетный шифратор допускается подавать сигналы на несколько входов, а он выставляет на выходе код числа, соответствующего старшему входу.

Рассмотрим в качестве примера (рис. 3.37) шифратор с приоритетом (приоритетный шифратор) К555ИВЗ серии микросхем К555 (ТТЛШ).

Шифратор имеет 9 инверсных входов, обозначенных через PR l , …, PR 9 . Аббревиатура PR обозначает «приоритет». Шифратор имеет четыре инверсных выхода B l , …, B 8 . Аббревиатура B означает «шина» (от англ. bus). Цифры определяют значение активного уровня (нуля) в соответствующем разряде двоичного числа. Например, B 8 обозначает, что ноль на этом выходе соответствует числу 8. Очевидно, что это неполный шифратор.

Если на всех входах - логическая единица, то на всех выходах также логическая единица, что соответствует числу 0 в так называемом инверсном коде (1111). Если хотя бы на одном входе имеется логический ноль, то состояние выходных сигналов определяется наибольшим номером входа, на котором имеется логический ноль, и не зависит от сигналов на входах, имеющих меньший номер.

Например, если на входе PR 1 - логический ноль, а на всех остальных входах - логическая единица, то на выходах имеются следующие сигналы: В 1 − 0, В 2 − 1, В 4 − 1, В 8 − 1, что соответствует числу 1 в инверсном коде (1110).

Если на входе PR 9 логический ноль, то независимо от других входных сигналов на выходах имеются следующие сигналы: В 1 − 0 , В 2 − 1 , В 4 − 1, В 8 − 0, что соответствует числу 9 в инверсном коде (0110).

Основное назначение шифратора - преобразование номера источника сигнала в код (например, номера нажатой кнопки некоторой клавиатуры).


Дешифраторы

Называется комбинационное устройство , преобразующее n-разрядный двоичный код в логический сигнал, появляющийся на том выходе, десятичный номер которого соответствует двоичному коду. Число входов и выходов в так называемом полном дешифраторе связано соотношением m= 2 n , где n- число входов, а m- число выходов. Если в работе дешифратора используется неполное число выходов, то такой дешифратор называется неполным. Так, например, дешифратор, имеющий 4 входа и 16 выходов, будет полным, а если бы выходов было только 10, то он являлся бы неполным.

Обратимся для примера к дешифратору К555ИД6 серии К555 (рис. 3.38).


Дешифратор имеет 4 прямых входа, обозначенных через А 1 , …, А 8 . Аббревиатура A обозначает «адрес» (от англ.address). Указанные входы называют адресными. Цифры определяют значения активного уровня (единицы) в соответствующем разряде двоичного числа. Дешифратор имеет 10 инверсных выходов Y 0 , …, Y 9 . Цифры определяют десятичное число, соответствующее заданному двоичному числу на входах. Очевидно, что этот дешифратор неполный.

Значение активного уровня (нуля) имеет тот выход, номер которого равен десятичному числу, определяемому двоичным числом на входе. Например, если на всех входах - логические нули, то на выходе Y 0 - логический ноль, а на остальных выходах - логическая единица. Если на входе А 2 - логическая единица, а на остальных входах - логический ноль, то на выходе Y 2 - логический ноль, а на остальных выходах - логическая единица. Если на входе - двоичное число, превышающее 9 (например, на всех входах единицы, что соответствует двоичному числу 1111 и десятичному числу 15), то на всех выходах - логическая единица.

Дешифратор - одно из широко используемых логических устройств. Его применяют для построения различных комбинационных устройств.

Рассмотренные шифраторы и дешифраторы являются примерами простейших преобразователей кодов.

Преобразователи кодов

В общем случае, называют устройства, предназначенные для преобразования одного кода в другой, при этом часто они выполняют нестандартные преобразования кодов. Преобразователи кодов обозначают через X/Y.

Рассмотрим особенности реализации преобразователя на примере преобразователя трехэлементного кода в пятиэлементный. Допустим, что необходимо реализовать таблицу соответствия кодов, приведенную на рис. 3.39.



Здесь через N обозначено десятичное число, соответствующее входному двоичному коду. Преобразователи кодов часто создают по схеме дешифратор - шифратор. Дешифратор преобразует входной код в некоторое десятичное число, а затем шифратор формирует выходной код. Схема преобразователя, созданного по такому принципу, приведена на рис. 3.40, где использован матричный диодный шифратор. Принцип работы такого преобразователя довольно прост. Например, когда на всех входах дешифратора логический «О», то на его выходе 0 появляется логическая «1», что приводит к появлению «1» на выходах у 4 и у 5 , т. е. реализуется первая строка таблицы соответствия кодов.


Промышленность выпускает большое число шифраторов, дешифраторов и преобразователей кодов, таких как дешифратор 4×16 со стробированием (К555ИДЗ), преобразователь кода для управления светодиодной матрицей 7×5 (К155ИД8), преобразователь кода для управления шкальным индикатором (К155ИД15) и др.

3.1.2 Шифраторы

Шифрирование это способ сжатия данных за счет преобразования m -разрядного унитарного (десятичного) кода в n -разрядный двоичный или двоично-десятичный код (m > n ). Шифраторы (CD , coder ) выполняют функцию, обратную функции дешифратора. При поступлении сигнала на один из входов шифратора на его выходах формируется код, соответствующий номеру этого входа.

Полный шифратор (m n ) имеет m = 2 n входов и n выходов, если m < 2 n , то шифратор не полный. Также он может быть неприоритетным, если разрешена подача только одного активного сигнала или приоритетным, если допускается подача одновременно нескольких активных сигналов на входы.

Принцип работы полного неприоритетного шифратора (4 – 2) поясняется таблицей истинности (таблица 1).

Таблица истинности неприоритетного шифратора (4 – 2) Таблица 1

набора

Информационные входы

Выходы

X 3

X 2

X 1

X 0

F 1

F 0

Карты Карно для минимизации схемы шифраторов обычно не используются вследствие сложности составления при большом количестве переменных.

Из таблицы (1) следует, что младший разряд F 0 кода на выходе шифратора равен единице, когда на нечетных входах присутствует единица:


Старший разряд F 1 кода на выходе шифратора равен единице, когда на входах X 3 , X 2 присутствует единица:

Следовательно, схема шифратора (4 – 2) может быть реализована с помощью двух элементов 2ИЛИ (рис. 1, а).


Рис. 1 Схемы неприоритетного шифратора (4 – 2) на элементах 2ИЛИ (а), 2ИЛИ-НЕ (б)

Для инверсной записи (рис. 1, б):

Один из входных сигналов шифратора обязательно имеет единичное значение (таблица 1). Если на входах X 1 , X 2 , X 3 нулевые значения, это означает, ч то на входеX 0 логическая единица, соответствующая набору 0, и этот вход к схеме может быть не подключен (рис. 1, а). Аналогично для X 3 в схеме шифратора на рис. 1, б. Схемы шифраторов на рисунке отличаются зеркальной перестановкой входов (в обоих случаях младший разряд X 0 , старший X 3 ) и инвертированием выходных сигналов (рис.1, б).

У шифраторов обычно имеются служебные входы и выходы:

- Разрешающий (стробирующий) вход EI (EN ) для выбора времени срабатывания шифратора при условии EI =1, также для наращивания разрядности входного кода.

- Разрешающий выход EO (EN ), определяет отсутствие сигналов на всех информационных выходах (EO = 1). Используется для увеличения разрядности путем подключения дополнительных шифраторов, условие подключения EO =1.

- Разрешающий выход GS (CS ), указывает на наличие информационного сигнала хотя бы на одном входе, принимая значение GS = 1. Обеспечивает согласование работы шифратора и внешних устройств (микропроцессор). Может применяться в схеме наращивания разрядности шифратора для исключения ошибок преобразования кодов.

Одно из основных назначений шифратора – ввод данных в цифровые устройства с помощью клавиатуры. Шифраторы, которые при одновременном нажатии нескольких клавиш вырабатывают код только старшей цифры, называют приоритетными. Если эти шифраторы выявляют старшую (левую) единицу и формируют двоичный код соответствующего единице десятичного номера, то называются указателями старшей единицы (обозначение элемента HPR 1/ BIN ).

В таблице истинности указателя старшей единицы (таблица 2) символом «Х» обозначены значения входных переменных, которые не важны для устройства и могут быть равны 0 или 1. Интерес представляют единицы в старшем разряде соответствующего набора.

Символом « – » обозначены значения переменных, которые не поступают в шифратор, т.к. на разрешающем входе EI сигнал логического нуля, на выходе F 1 F 0 = 00.

Пример : если нажата клавиша старшего разряда Х 3 (набор 5), что соответствует кодам 3 10 = 11 2 , нажатие других клавиш должно игнорироваться.

Таблица истинности указателя старшей единицы (4 – 2) Таблица 2

набора

Служебные

Информационные

вход

выходы

Входы

Выходы

EI

GS

EO

X 3

X 2

X 1

X 0

F 1

F 0

В соответствии с правилом склеивания для выхода F 1 .